2023年中科飞测研究报告 高端半导体质量控制设备公司|世界视讯

2023-05-18 14:35:25

来源:广发证券

一、中科飞测:本土半导体质量控制设备龙头,高研发投入构筑技术壁垒

中科飞测成立于2014年12月,是国内领先的高端半导体质量控制设备公司。公司自 成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产 品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌 量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集 成电路制造产线。


(资料图片仅供参考)

CHEN LU(陈鲁)、哈承姝夫妇为公司实际控制人。截至2023年2月20日,苏州翌 流明直接持有公司15.75%的股份,小纳光持有公司7.86%股份,苏州翌流明为小纳 光执行事务合伙人。CHEN LU(陈鲁)、哈承姝夫妇通过苏州翌流明、小纳光及直 接持有的方式合计控制公司30.54%股份,为公司实际控制人。公司主要技术研发人 员通过小纳光持有公司股份,充分分享公司发展发展成果,激励效果显著。国投基 金、中科院微电子所、深创投分别持有15.19%、4.84%及4.08%的股份,知名国资背 景产业基金持股体现了公司在国内半导体产业链的重要地位。

(一)坚持高研发投入,夯实竞争壁垒

公司主要高管行业内从业多年,产业经验丰富。公司创始人兼董事长CHEN LU(陈 鲁)本科毕业于中国科学技术大学少年班物理学专业;后获得美国布朗大学物理学 专业,博士研究生学位。曾任 Rudolph Technologies(现创新科技)系统科学家、 科磊半导体资深科学家、中科院微电子所研究员及博士生导师,具有多年半导检测 设备研发经验。其余高管也毕业于海内外知名院校,拥有知名业内公司的研发、管 理经验及相关科研背景,为公司发展提供可靠的技术、管理支撑。

重视研发团队建设,多措并举激发团队技术创新能力。截至2022年6月30日,公司拥 有研发人员298人,占公司总员工人数的44.08%。已形成了涵盖光学、算法、软件、 机械、电气、自动化控制等多学科、多领域的专业人才队伍。公司制定了健全的项目 绩效和专利管理相关制度,设定专利申请奖励与项目奖励措施,鼓励全体研发人员进行技术钻研,推进技术创新与优化,以此提高核心技术人员的创造力与产学研转 化效率。 坚持高研发投入,掌握多项核心技术夯实竞争壁垒。公司长期坚持高研发投入策略, 筑牢自身技术基础。2019年-2022年,公司研发费用分别为0.56、0.46、0.95和2.06 亿元,占营业收入比重分别达到100.01%、19.43%、26.36%及40.40%,长期的大 金额、高比例研发投入提升了公司产品对半导体质量控制设备类别及工艺制程覆盖 的广度。公司掌握光学检测技术、大数据检测算法和自动化控制软件在内的9项核心 技术,立足核心技术、研发高性能产品,公司不断夯实竞争壁垒。

(二)营收高速增长,检测设备是成长主要驱动力

营收高速增长,盈利能力显著改善。2018-2022年,公司分别实现营收0.30、0.56、 2.38、3.61、5.09亿元,期间营收年均复合增速达到103%,营收实现高速增长。公 司于2020年实现归母净利润0.40亿元,实现扭亏为盈,2020-2022年归母净利润均 维持盈利状态。公司2022年扣非归母净利润为-0.88亿元,主要原因是公司为提升核 心竞争力和竞争优势,坚持高研发投入,研发费用占营业收入比例处于较高水平。 后续伴随营收规模的持续提升,公司盈利能力有望进一步改善。

公司主营业务包括检测设备、量测设备,检测设备增速较快。2018年-2022年,公司 检测设备营收从0.13亿元增长至3.85亿元,期间年均复合增速为135%;同期量测设 备营收从0.16亿元增长至1.18亿元,期间年均复合增速64%;公司检测设备呈现出更 快的成长速度,在主营业务中的营收占比也从2018年的43.6%提高至2022年的 76.6%,是公司成长的主要驱动力。

公司毛利率稳健提升,检测设备贡献主要毛利润。公司检测设备毛利率呈现出稳健 提升趋势,量测设备毛利率2018-2021年也持续提高,2022年略有下降。2022年公 司检测设备、量测设备及总毛利率分别为52.6%、35.8%、48.7%。由于检测设备营 收占比较高、毛利率水平也较高,目前公司主要毛利润由检测设备贡献,2022年检 测设备、量测设备业务分别贡献毛利润2.02、0.42亿元。

二、半导体质量控制设备市场空间广阔,国产替代需求 迫切

(一)质量控制设备是芯片制造的核心设备

质量控制设备是芯片制造的核心设备之一,是保证芯片生产良品率的关键。集成电 路制造过程步骤繁多、工艺极其复杂,每一道工序的良品率都要保持在几乎“零缺 陷”的极高水平才能保证最终芯片的良品率。质量控制贯穿集成电路制造全过程, 是保证芯片生产良品率非常关键的环节。 集成电路质量控制包括前道检测、中道检测和后道测试。前道检测主要以光学和电 子束等非接触式手段,针对光刻、刻蚀、薄膜沉积、清洗、CMP等晶圆制造环节的 质量控制的检测;中道检测面向先进封装环节,主要以光学等非接触式手段针对重 布线结构、凸点与硅通孔等晶圆制造环节的质量控制;后道测试主要利用接触式的 电性手段对芯片进行功能和参数测试,主要包括晶圆测试和成品测试两个环节。目 前从事前道设备和中道检测的本土设备厂商较少、行业自给率也较低。

应用于前道制程和先进封装的质量控制细分为检测和量测两大环节。检测指在晶圆 表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等 对芯片工艺性能具有不良影响的特征性结构缺陷;量测指对被观测的晶圆电路上的 结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、可是深度、表面形貌 等物理性参数的量测。

(二)当前半导体质量控制主要依赖光学技术,集成电路工艺发展对光学 技术提出更高要求

从技术原理上看,检测和量测包括光学检测技术、电子束检测技术和X光技术等。光 学检测技术基于光学原理,通过对光信号进行计算分析以获得检测结果,光学检测 技术对晶圆的非接触检测模式使其具有对晶圆本身的破坏性较小的优势;电子束检 测技术通过聚焦电子束扫描样片表面产生样品图像以获得检测结果,具有精度高、 速度较慢的特点;X光量测技术基于X光的穿透力强及无损伤特性进行特定应用场景 的测量。

当前半导体质量控制主要依赖光学技术。半导体质量控制设备涉及对集成电路制造 的生产过程进行全面质量控制和工艺检测,对设备的灵敏性、速度均有较高的要求。 应用光学检测技术的设备可以相对较好地实现高精度、高速度的均衡,并且能够满 足其他技术所不能实现的功能。电子束技术与光学检测技术存在优势互补,如在光 学技术检测到缺陷后,可以应用电子束技术对关键区域表面尺度进行更高精度的抽 检和复查。X光量测技术主要应用于特定金属成分测量和超薄膜测量等特定的领域。 根据公司招股书数据,2020年全球半导体检测和量测设备市场中,应用光学检测技 术、电子束检测技术及X光量测技术的设备市场销售额占比分别为75.2%、18.7%及 2.2%。

在检测环节,光学检测技术可进一步分为无图形晶圆激光扫描检测技术、图形晶圆 成像检测技术和光刻掩膜版成像检测技术。在量测环节,光学检测技术基于光的波 动性和相干性实现测量远小于波长的光学尺度,主要包括三维形貌量测、薄膜膜厚 量测、套刻精度量测、关键尺寸量测等。

集成电路工艺发展对光学技术提出更高要求。伴随主流半导体制程的不断缩小,三 维FinFET晶体管、3D NAND等新技术的普及,对检测和量测设备的灵敏度、准确性、 稳定性、吞吐量等性能提出了更高要求。目前检测和量测设备的技术提升主要体现 在以下三个方面:(1)光学检测技术分辨率需求提高,相应需要更短波长的光源,以 及使用更大数值孔径的光学系统;(2)大数据检测算法和软件重要性凸显,先进的软 件和算法需要能够在有限的信噪比图像中寻找微弱的异常信号,且需要设备企业自 主研制开发算法和软件;(3)设备检测速度和吞吐量的提升,从而更好的控制成本, 提高良品率。

(三)质量控制设备市场空间广阔,海外龙头占据主要市场

检测和量测设备是半导体前道设备第四大细分市场,市场规模高速增长。根据中微 公司招股书数据,2017年全球晶圆制造设备市场中,检测和量测设备销售额占比为 13%,位居第四位,仅次于刻蚀设备(24%)、光刻机/光刻胶处理设备(23%)和 薄膜沉积设备(18%)。根据VLSI Research和QY Research数据,2020年全球半导 体检测和量测设备市场规模达到76.5亿美元,市场空间广阔。2016-2020年全球半导 体检测与量测设备市场规模年均复合增速为12.6%,市场规模高速增长。

量测和检测设备细分产品类别多样,检测设备销售额占比较高。根据VLSI Research 数据,在2020年检测和量测设备市场中,销售额占比超过0.5%的细分产品类别有14 种,其中销售额占比超过10%的细分产品类别有纳米图形晶圆缺陷检测设备 (24.7%)、掩膜版缺陷检测设备(11.3%)和关键尺寸量测设备(10.2%)。统计 来看,检测设备销售额占比为62.6%,包括无图形晶圆缺陷检测设备、图形晶圆缺陷 检测设备、掩膜检测设备等;量测设备销售额占比为33.5%,包括三维形貌量测设备、 薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备等。

科磊半导体产品线最为丰富,市场份额一家独大。在14类常见量测和检测设备中, 科磊半导体可提供11类产品,其他海外厂商、本土厂商产品线的丰富程度相较于科 磊半导体均有明显差距。在市场份额方面,根据VLSI Research数据,2020年科磊半 导体在检测与量测设备的市场份额占比为50.8%,形成一家独大的龙头领先优势;此 外应用材料、日立等海外厂商也有较高的市场份额;行业CR5为82.4%,集中度较高, 且行业龙头均为海外厂商。

(四)中国大陆量测设备市场增长迅速,国产替代需求迫切

中国大陆晶圆产能建设方兴未艾。中国是全球最大的半导体消费市场,旺盛的终端 需求也带动了全球晶圆制造产能中心向中国大陆转移,中国大陆晶圆产能建设加速 推进。根据SEMI数据,2021-2023年间全球开始/计划建设的84座大规模芯片制造工 厂中,有20座位于中国大陆,数量超过其他地区。

晶圆产能建设驱动国内量测设备市场空间高速成长。2020年以来,中芯南方、长江 存储、合肥长鑫、广州粤芯、上海积塔等本土晶圆制造产线的建设/扩张接连取得进 展,国内半导体制造企业的产能扩张为国内半导体设备市场规模的增长提供了强劲 动力。根据公司招股书数据,2016-2021年,中国大陆半导体设备市场空间年均复合 增速达到35.6%,远高于全球平均增速20.0%;2016-2020年,中国大陆检测和量测 设备市场年均复合增速达到31.6%,远高于全球平均增速12.6%;2020年中国大陆半 导体检测和量测设备市场空间达到21.0亿美元。

检测和量测设备国产替代需求迫切,本土厂商加速突破。海外龙头凭借技术积累优 势、产品线覆盖广度高、品牌认可度高等优势,占据了主要的中国大陆半导体检测 和量测设备市场;2020年科磊半导体、应用材料、日立合计占据70.9%的市场份额。根据公司招股书数据,2020年我国半导体量测与检测设备国产化率仅约2%,国产替 代需求迫切。近年来,本土厂商紧抓本土晶圆厂扩产/建设的时代机遇,积累技术水 平、丰富产品系列、推进客户导入,在产品与客户端实现了加速突破,并有望乘国产 替代东风持续加速成长。

三、深耕半导体量测设备赛道,优势高筑引领行业国产 替代

(一)持续拓展产品线,有望打开更广阔的市场空间

专注集成电路前道设备和先进封装的质量控制设备,主营业务为检测设备、量测设 备。公司检测设备的主要功能为检测晶圆表面或电路结构中是否出现异质情况,如 颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷, 产品包含无图形晶圆缺陷检测设备和图形晶圆缺陷检测设备两大系列;公司量测设 备的主要功能系对被观测的晶圆电路上的结构尺寸和材料特性做出量化描述,如薄 膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测,产品包含三维形貌量 测设备、薄膜膜厚量测设备、3D曲面玻璃量测设备三大系列。

持续开发新产品,拓展产品线丰富程度和可覆盖市场空间。在公司招股书报告期内, 公司产品线主要涵盖了半导体检测和量测设备市场中无图形晶圆缺陷检测设备、图 形晶圆缺陷检测设备、三维形貌量测设备、晶圆介质薄膜量测设备和套刻精度量测 设备等细分市场,合计对应市场销售额占比为27.2%。同时,公司正在积极研发纳米 图形晶圆缺陷检测设备、晶圆金属薄膜量测设备等新产品,两类产品对应的市场销 售额占比分别为24.7%和0.5%,公司将持续开发新产品,细化丰富检测和量测设备 产品线的丰富程度,拓宽市场空间。

(二)技术储备丰富,产品性能比肩国际龙头

基于自主研发,掌握多项核心技术。公司的核心技术主要体现在半导体质量控制设 备的方案设计开发和调试环节,最终实现整机的性能指标。公司核心技术涉及光学 检测技术、大数据检测算法及自动化控制软件等方面,具体包括9项核心技术。其中, 光学检测技术主要用以收集与晶圆表面缺陷种类、尺寸、位置或电路结构中的物理 尺度相关的光学信号;大数据检测算法主要用以解析上述光学信号并得出结果;自 动化控制软件主要用以控制零部件和整机设备的运行。公司主要核心技术来源于自 主研发,核心技术权属清晰,相关技术在产品应用过程中不断积累升级。

产品性能指标优异,主要产品比肩海外龙头竞品。在灵敏度方面,公司实现了无图 形晶圆缺陷检测设备系列最小灵敏度23nm缺陷尺度的检测,图形晶圆缺陷检测设备 系列最小灵敏度0.5μm缺陷尺度的检测,三维形貌量测设备系列和薄膜膜厚量测设 备系列重复性精度分别达到0.1nm和0.003nm。在吞吐量方面,无图形晶圆缺陷检测 设备系列实现了灵敏度102nm下100wph的吞吐量、灵敏度26nm下25wph的吞吐量; 图形晶圆缺陷检测设备系列实现了灵敏度3μm下80wph的吞吐量。在功能性方面,实 现了对晶圆正面、背面和边缘的缺陷分布检测,能够满足客户对晶圆全维度的缺陷 检测。公司无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备 与主要竞争对手的同类型设备的总体性能和关键性能参数相当。

承担多项国家科研重大专项及其他重大科研项目,研发产业化取得积极进展。2018 年,公司牵头承担了国家科技重大专项《20-14nm晶圆缺陷光学在线检测的研发与 产业化》项目,并独立承担了两个课题任务。在课题任务“无图形晶圆缺陷光学在线 检测设备研发与产业化”执行过程中,公司形成了深紫外成像扫描技术,完成了无 图形晶圆缺陷检测设备SPRUCE-800的研发并形成规模收入。截至招股书签署日, 公司共有11项在研项目,并有多项研发产业化取得积极进展,例如2020年应用在集 成电路前道领域的薄膜膜厚量测设备通过士兰集科产线验证,2021年无图形晶圆缺 陷检测设备通过国家科技重大专项验收等。

(三)积累众多优质客户资源,加速进行市场推广

积累众多优质客户资源,产品获市场认可。依托于公司核心技术的不断突破、产品 优异的性能指标和产品种类的日趋丰富,公司客户群体和客户订单持续增长,并积 累了中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等集成电路 前道制程及先进封装知名客户群,获得市场认可和产品口碑。 与头部客户建立稳固合作关系,确认收入产品数量逐年提升。公司主要从事的半导 体过程控制设备具有较高的技术和客户验证壁垒,当产品取得客户验证后,将有助 于公司获得客户批量订单。公司与中芯国际、长电先进、长江存储、蓝思科技等头 部客户已有多年的合作关系,且在中芯国际、长电先进等客户端确认收入产品数量呈现逐年增长态势,合作关系不断深化。

(四)本土半导体量测领域龙头,募投项目扩充产能有望加速引领行业国 产替代

中标数量相较国内同行业企业处于领先地位,彰显领先优势。根据审核问询函回复 数据,2021年度国内主流厂商共招标前道检测及量测设备185台,公司中标14台, 上海精测中标1台,东方晶源微电子科技(北京)有限公司中标1台,公司中标数量 明显高于国内同业,彰显市场竞争力。同时公司的中标数量占比为7.57%,与科磊半 导体等国外厂商相比占比仍相对较低,主要系公司产品在广度和深度上仍存在一定 差距,仍有广阔成长空间。

半导体量测业务营收体量居国内龙头地位,加速成长引领国产替代。根据VLSI Research数据,中国半导体检测和量测设备市场主要为科磊半导体、应用材料、日 立占据,合计市场份额超过70%;本土企业主要有中科飞测、上海睿励、上海精测, 其中中科飞测营收体量、市占率高于其他两家国内厂商,2020年在中国大陆市场市 占率达到1.74%;且公司成长迅速,2018-2020年间营收年均复合增速达到182.12%, 同期中国大陆市场规模复合增速为29.61%,公司凭借产品、技术、客户资源等方面的积累与竞争力,实现远高于市场平均的增速,市占率迅速增长,引领半导体检测 和量测设备市场的国产替代。

需求旺盛产品供需紧张,募投项目扩充产能助力公司加速成长。受益于中国大陆晶 圆产能的加速建设及半导体设备的国产化趋势,公司产品下游需求旺盛,现有产能 已处于较为饱和的状态,2019-2021年,公司产能利用率分别为51.69%、82.71%和 104.92%。募投项目的实施将助力提升公司研发、生产能力,预计募投项目达产后每 年将新增230台半导体质量控制设备产能,可更好满足下游客户因产线扩建、工艺升 级而日益增长的需求,为公司持续、高速成长提供坚实产能保障,也将助力公司加 速引领半导体质量控制设备的国产替代进程。

四、盈利预测

中科飞测是国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测 和量测两大类集成电路专用设备的研发、生产和销售。分业务来看:

1. 检测设备业务板块

公司检测设备包括无图形晶圆缺陷检测设备系列和图形晶圆缺陷检测设备系列,主 要功能为检测晶圆表面或电路结构中是否出现异质情况,如颗粒污染、表面划伤、 开短路等对芯片工艺性能具有不良影响的特征性结构缺陷。 在无图形晶圆缺陷检测设备系列方面,公司SPRUCE-600和SPRUCE-800设备可实 现的最小灵敏度分别为60nm和23nm。其中,SPRUCE-600在灵敏度为102nm时的 吞吐量为100wph,SPRUCE-800在灵敏度为26nm时的吞吐量为25wph。公司设备 灵敏度和吞吐量可以满足不同客户需求,公司设备与国际竞品整体性能相当,已在 中芯国际等知名晶圆制造厂商的产线上实现无差别应用。在图形晶圆缺陷检测设备 系列方面,公司该型号设备主要应用于先进封装环节的晶圆出货检测,最小灵敏度 可达到0.5μm,在灵敏度为3μm 时的吞吐量为80wph。公司设备灵敏度和吞吐量可 以满足不同客户需求;公司设备与国际竞品整体性能相当,已在长电先进、华天科 技等知名先进封装厂商的产线上实现无差别应用。

公司检测设备凭借优异的产品性能与持续完善的产品布局,将有望在国产替代进程 中实现出货量的持续增长,同时受益于出货量增加带来的规模效应,业务毛利率也 有望实现提高。我们预计公司检测设备业务在2023 - 2025年的营业收入为5.46、7.31、 9.24亿元,毛利率为53.5%、55.6%、56.7%。

2. 量测设备业务板块

公司量测设备包括三维形貌量测设备系列、薄膜膜厚量测设备系列和3D曲面玻璃量 测设备系列,主要功能为对被观测的晶圆电路上的结构尺寸和材料特性做出量化描 述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。 在三维形貌量测设备系列方面,公司该型号设备的重复性精度达到0.1nm,能够支持 2Xnm及以上制程工艺中的三维形貌测量,公司设备重复度精度可以满足不同客户需 求。公司设备与国际竞品整体性能相当,已在长江存储等知名晶圆制造厂商的产线 上实现无差别应用。 受益于新产品的不断推出,公司量测设备业务出货量、产品单价均有望实现提高, 同时该业务盈利能力也将有所恢复。预计公司量测设备业务在2023 - 2025年的营业 收入为1.79、2.17、2.89亿元,毛利率为38.8%、40.6%、42.2%。

基于以上关键假设,我们预计公司 2023 - 2025 年分别实现营业收入7.25、9.48、 12.13亿元,实现归母净利润0.01、0.28、0.45亿元。 我们采用市销率(PS)相对估值法对公司进行估值,并选取中微公司、芯源微、盛 美上海和华峰测控四家与公司同处于半导体专用设备领域的国产厂商作为可比公司。 其中,中微公司主要为集成电路、LED芯片、MEMS等半导体产品的制造企业提供刻 蚀设备、MOCVD设备;芯源微的产品包括光刻工序涂胶显影设备(涂胶/显影机、喷 胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机);盛美上海主要产品包括半 导体清洗设备、半导体电镀设备、立式炉管系列设备、PECVD 设备和先进封装湿法 设备等;华峰测控的设备产品主要用于模拟、数模混合、分立器件和功率模块等集 成电路的测试。以上公司的主营业务均为半导体设备,与公司半导体设备产品及应 用领域具有可比性。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

关键词: